2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩41頁未讀, 繼續免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p><b>  畢業設計論文</b></p><p><b>  自動打鈴系統設計</b></p><p>  系 電子信息工程系 </p><p>  專業 電子信息工程 姓名   </p><p>  班級 學號 <

2、;/p><p>  指導教師 職稱 實驗師 </p><p>  設計時間 2010.11.22-2011.1.8 </p><p><b>  目錄</b></p><p><b>  摘要- 3 -</b></p><p><b

3、>  關鍵詞- 3 -</b></p><p><b>  引言- 4 -</b></p><p>  第一章 設計方案論證- 5 -</p><p>  1.1 設計要求- 5 -</p><p>  1.2 設計方案選擇- 5 -</p><p>  1.2.1

4、方案一:數字電路設計的自動打鈴系統- 5 -</p><p>  1.2.2 方案二:基于單片機的自動打鈴系統設計- 6 -</p><p>  1.2.3 方案確定- 6 -</p><p>  1.3 基本方案- 6 -</p><p>  1.3.1 設計課題簡要概述- 6 -</p><p>  1.

5、3.2 系統軟硬件劃分- 6 -</p><p>  1.3.3 單片機選型- 7 -</p><p>  1.4 總體設計框圖- 7 -</p><p>  第二章 硬件電路設計- 8 -</p><p>  2.1 基本原理概述- 8 -</p><p>  2.2 主要原件參數及功能簡介- 8 -&

6、lt;/p><p>  2.2.1 主控器AT89C51- 8 -</p><p>  2.2.2 時鐘電路DS1302- 9 -</p><p>  2.3 單元電路的設計- 10 -</p><p>  2.3.1顯示電路設計- 10 -</p><p>  2.3.2 鍵盤接口電路設計- 11 -</

7、p><p>  2.3.3 響鈴電路設計- 12 -</p><p>  2.4 總體運行進程- 12 -</p><p>  第三章 軟件電路設計及流程圖- 13 -</p><p>  3.1 基本原理概述- 13 -</p><p>  3.1.1 中斷服務程序設計- 13 -</p>&l

8、t;p>  3.1.2 顯示程序設計和按鍵判斷與按鍵處理程序設計- 13 -</p><p>  3.2 流程圖- 14 -</p><p>  3.2.1 系統主程序流程圖- 14 -</p><p>  3.2.2 系統定時中斷流程圖- 15 -</p><p>  第四章 系統程序設計- 16 -</p>

9、<p>  4.1 程序設計概要- 16 -</p><p>  4.2 源程序清單- 17 -</p><p>  第五章 自動打鈴硬件原理圖- 37 -</p><p>  第六章 畢業設計總結- 38 -</p><p><b>  致謝- 39 -</b></p><p

10、>  參考文獻- 40 -</p><p><b>  摘要</b></p><p>  自動打鈴系統,是以一片8位單片機為核心的實時時鐘及控制系統。我們知道單片機的外接石英晶體振蕩器能提供穩定、準確的基準頻率,并經12分頻后向內部定時器提供實時基準頻率信號,設定定時器工作在中斷方式下,連續對此頻率信號進行分頻計數,便可得秒信號,再對秒信號進行計數便可得到分、

11、時等實時時鐘信息。如果石英晶體振蕩器的頻率信號為6MHZ,設定定時器定時工作方式1下,定時器為3CBOH,則定時器每100ms產生1次中斷,在定時器的中斷定時處理程序中,每10次中斷,則向秒計數器加1,秒計數器計數到60則向分計數器進位(并建立分進位標志),分計數器計數到60,則向時計數器進位,如此周而復始的連續計數,便可獲得時、分、秒的信號,建立一個實時時鐘。接下來便可以進行定時處理和打鈴輸出,當主程序檢測到有分進位標志時,便開始比較

12、當前時間(小時與分、存放在RAM中)與信息時間表上的作息時間(小時與分,存放在ROM)是否相同,如有相同者,則進行報時處理并控制打鈴,如有不相同則返回主程序,如此便實現了報時控制的要求。</p><p><b>  關鍵詞</b></p><p>  單片機;時間設置電路;計時電路;顯示電路;定時打鈴控制電路</p><p><b>

13、  引言</b></p><p>  隨著科技的不斷發展,各種芯片都得到了很好的發展,80C51 同樣如此,從開始的無人問津到現在的隨處可見,紅綠燈,記分牌,電子秒表,遙控器,電飯煲,電視等只要是電子產品,都會和芯片有關,其實芯片并不是什么神秘的高科技,它只是里面裝了一些己編好的程序而己.而這里要介紹的是用匯編語言來編程的一個系統,它能夠讓一個學?;蚱髽I集團實現打鈴自動化,總之,一個需要時間系統的機構

14、實現自動提醒功能。</p><p>  當今時代是一個新技術層出不窮的時代,在電子領域尤其是自動化智能控制領域,傳統的分立元件或數字邏輯電路構成的控制系統,正以前所未有的速度被單片機智能控制系統所取代。單片機具有體積小、功能強、成本低、應用面廣等優點,可以說,智能控制與自動控制的核心就是單片機。目前,一個學習與應用單片機的高潮正在工廠、學校及企事業單位大規模地興起。而本文是用AT89C51單片機設計的一個自動打鈴

15、系統。</p><p>  第一章 設計方案論證</p><p><b>  1.1 設計要求</b></p><p>  它可以作為時鐘電路來顯示時間,進行設置,定時打鈴。按照自頂向下設計方法劃分自動打鈴系統的功能??煞譃椋簳r間設置電路,計時電路,顯示電路和定時打鈴控制電路等。</p><p>  以江蘇信息職業技術

16、學院的打鈴情況設計</p><p>  1.2 設計方案選擇</p><p>  1.2.1 方案一:數字電路設計的自動打鈴系統</p><p>  利用函數信號發生器來進行脈沖信號輸出,利用74160N來設置十進制和六進制的進位輸出。利用數碼顯示器來顯示時間,利用或門、與門、非門、與非門、等電路元件進行組合實現打鈴的控制。</p><p>

17、  1.2.2 方案二:基于單片機的自動打鈴系統設計</p><p>  單片機內部存儲器設三個字節分別存放時鐘的時、分、秒信息。利用定時器與軟件結合實現1秒定時中斷,沒產生一次中斷,存儲器內相應的秒值加1;若秒值達到60,則將其清零,并將相應的分字節值加1;若分值達到60,則清零分字節,并將時字節值加1;若時值達到24,則將時字節清零。建立完一個實時時鐘后接下來進行定時處理和打鈴輸出,當主程序檢測到有分進位標志

18、時,便開始比較當前時間與信息時間表上的作息時間是否相同,相同者,則進行報時處理并控制打鈴,不相同則返回主程序。</p><p>  1.2.3 方案確定</p><p>  方案一的設計只能事先設定打鈴時間不能完全自動打鈴,且在修改打鈴時間上存在一定的困難。而方案二中的設計能完全實現自動化,詮釋了我們這次畢業設計的主題。并在修改打鈴時間上有了很大的方便,只需修改一部分程序便能實現不同的需要

19、。</p><p>  因此我選擇方案二進行設計。</p><p><b>  1.3 基本方案</b></p><p>  1.3.1 設計課題簡要概述</p><p>  自動打鈴裝置用于工廠、學校等地的時間控制,本設計是按照學校作息時問設定的,模擬了電了鐘顯示時、分、秒。還根據學校的作息時間按時打鈴,本系統有4 個

20、按鈕,分別用來調時、調分、秒和強制打鈴及強制關鈴,以保證始終與標準時間相吻合。</p><p>  首先設計出本系統的硬件基本框圖,根據框圖設計電氣原理圖,簡要概述基本原理,按照設計技術參數設計出各部分程序。</p><p>  1.3.2 系統軟硬件劃分</p><p>  由于需要最小系統設計,因此,極大地介于系統的硬件成本,所有能用軟件實現的功能都用軟件完成,

21、如按鍵的去抖,采用延時,顯示部分用動態顯示等,這樣硬件部分的設計可以采用單片機最小系統,所謂最小系統時僅有程序存儲器和時鐘及復位電路的單片機系統。</p><p>  1.3.3 單片機選型</p><p>  根據課題的具體內容,任務要求,計時、校時、定時、鍵盤顯示等功能,經多方面考慮,所選系統選項用.與MSC-51單片機完全兼容的AT89C51 低功耗單片機。</p>&

22、lt;p>  1.4 總體設計框圖</p><p><b>  圖一 整體框圖</b></p><p>  第二章 硬件電路設計</p><p>  2.1 基本原理概述</p><p>  本系統主要由主控模塊,時鐘模塊,顯示模塊,鍵盤接口模塊等4 部分構成。通過內部定時產生中斷,從而使驅動電鈴打鈴。設定51

23、單片機工作在定時器工作方式1 ,每100ms產生一次中斷,利用軟件將基準100ms 單元進行累加,當定時器產生10 次中斷就產生lS 信號,這是秒單元加1 。同理,對分單元和時單元計數從而產生秒,分,時的值,通過六位七段顯示器進行顯示。由于動態顯示法需要數據所存等硬件,接口較復雜,考慮顯示只有六位,且系統沒有其他浮躁的處理程序,所有采用動態掃描LED 的顯示。</p><p>  本系統采用四個按鍵,當時鐘時間和

24、設置時間一直時,驅動程序動作,進行打鈴,每次打鈴30S</p><p>  2.2 主要原件參數及功能簡介</p><p>  2.2.1 主控器AT89C51</p><p>  AT89C51 公司生產的AT89C51 單片機用高性能的靜態89C51 設計,由先進工藝制造,并帶有非易失性FLASH 程序存儲器,它是· 種高性能、低功耗的8 位CMOS

25、微處理芯片,市場應用最多,主要特點有:</p><p>  有4K 的FLASH 程序存儲器</p><p>  256 字節內部RAM</p><p>  電源控制模式:時鐘可停止和恢復,空閑模式,掉電模式</p><p><b>  6個中斷源</b></p><p><b>  4

26、個中斷優先級</b></p><p><b>  4個8位I/O口</b></p><p>  全雙工增強型UART</p><p>  2個16位定時、計數器</p><p>  圖二 AT89C51</p><p>  2.2.2 DS1302時鐘電路DS1302</p&g

27、t;<p><b> ?。?)性能特性</b></p><p>  實時時鐘可對秒,分,時等進行計數,存在高速數據暫存的31*8位RAM,最少引腳的串行I/O口;2.5~~5.5V電壓工作范圍;2.5V耗電小于300nA;用于時鐘或RAM數據讀/寫的單字節或多字節數據傳送方式;簡單的3線接口;可選的慢速充電的能力。</p><p>  DS1302時鐘芯

28、片包括實時時鐘和31字節的靜態RAM,它經過一個簡單的串行接口與微處理器通信,實時時鐘提供秒,分,時等信息,時鐘運行可以采用24H,或帶AM/PM的12H格式,采用三線接口與CPU進行同眇通信,并可采用突發方式一次傳送多個字節的時鐘信號或RAM數據。DS1302有主電源/后備電源雙電源引腳;</p><p><b>  1)性能特性</b></p><p>  DS1

29、302與單片機之間能簡單地采用同步串行的方式進行通信,僅需用到三個口線:1.RSE復位,2.I/O數據線,3.SCLK 串行時鐘。時鐘/RAM 的讀/寫數據以一個字節或多達31 個字節的字符組方式通信。DS1302 工作時功耗很低,保持數據和時鐘信息時功率小十1mW 。提供秒分時日日期。月年的信息,每月的天數和閏年的天數可自動調整時鐘,操作可通過AM/PM 指示決定采用24 或12 小時格式。 </p><p>

30、<b>  2)管腳描述</b></p><p>  XI XZ 32.768KHz 晶振管腳</p><p><b>  GND 接地</b></p><p><b>  RST 復位腳</b></p><p>  I/O 數據輸入/輸出引腳</p><p

31、><b>  SCLK 串行時鐘</b></p><p>  Vcc1,Vcc2 電源供電管腳</p><p>  DS1302 串行時鐘芯片8 腳 DIP</p><p>  DS1302S 串行時鐘芯片8 腳 SOIC 200mil</p><p>  DS1302Z 串行時鐘芯片8 腳 SOIC 150mil

32、</p><p>  圖三 DS1302</p><p>  2.3 單元電路的設計</p><p>  2.3.1時鐘電路設計</p><p><b> ?。?)工作原理</b></p><p>  DS1302在任何數據傳送時必須先初始化,把RST引腳置為高電平,然后把8位地址和命令裝入移位

33、寄存器,數據在SCLK的上升沿被輸入,無論是讀周期還是寫周期開始8位指定40個寄存器中哪個將被訪問到,在開始8個時鐘周期,把命令字節裝入撳位寄存器之后,另外的時鐘周期在闈時操作時輸出數據,在寫操作時寫入數據,時鐘脈沖的個數在單位字節下為8加8,在多字節方式下為8加字節數,最大可達248字節數。</p><p>  為了提高對32個地址的尋址能力,可以把時鐘或RAM寄存器規定為多字節方式,在多字節方式中,讀或寫從地

34、址0的位0開始,必須管按數據傳送的次序電先的8個寄存器。但是當以多個字節寫RAM時,為了傳送數據不必寫所有31字節,不管是否寫了全部31字節,所寫的每個字節都將傳送至RAM。</p><p>  時鐘暫停:秒寄存器的位7定義位時鐘暫停位,當它為1時,DS1302停止振蕩,進入低功耗的備份方式,通常在對DS1302進行寫操作時,停止振蕩,當它為0時時鐘將開始啟動。</p><p>  805

35、1通過串口向DS1302寫數據的程序框圖如圖3.2,其中,Px可以是8051單片機的任何一位I/O口,注意因為DS1302的數據發送或接收時序和8051的串行口不完全一致,因此,需要在TXD的輸出端加反相器,另外,接收數據時,不能以串行口的接收方式接收,必須將串行口當作普通I/O口進行數據接收.</p><p>  DS1302的晶振選用32.768KHZ,電容推薦值為6PF,因為振蕩頻率較低,也可以不接電容,

36、對計時精度影響不大。</p><p>  圖3.2 DS1302寫數據的程序框圖</p><p>  2.3.1顯示電路設計</p><p>  顯示部分采用普通的共陽數碼管顯示,采用動態掃描,以減少硬件電路,數碼管分別為十時,時,十分,分,十秒,秒顯示,顯示時采用串行口輸出段碼,用74LS164 來驅動數碼管掃描只需7ms 。</p><p&

37、gt;  4LS164 內部位8 個D 觸發器,用以實現數據的串行移位,74LS164 位TTL 單向8 位移位寄存器,可實現串行輸入并行輸出,CPU 位時鐘輸入端,可接到串行口TXD 端。每個時鐘信號的上升沿加到CP 端時,移位寄存器移一位,8 個時鐘脈沖過后,8 為二進制數個部移入74LS164中,MR 為復位端,當該位為低電平時,移位寄存器各位復O 。當它為高電平時時鐘脈沖才起作用。</p><p><

38、;b>  圖四 顯示電路</b></p><p>  2.3.2 鍵盤接口電路設計</p><p>  由于鍵盤只有四個,采用獨立式按鈕,分別與8051 的P1.0, P1.1, P1.2 相連,用普通按鈕10K 上拉電阻,用查詢法完成讀健功能。</p><p><b>  圖五 按鍵電路</b></p>&

39、lt;p>  2.3.3 響鈴電路設計</p><p>  響鈴電路用到了蜂鳴器、三極管、1K電阻。蜂鳴器兩端分別接地和三極管。三極管一段電源另一端與電阻相連并接入AT89C51的P3.7接口。</p><p><b>  圖六 響鈴電路</b></p><p>  2.4 總體運行進程</p><p>  首先

40、實現24小時制電子鐘,在8位數碼管顯示,顯示為時分秒,實現的格式為:23-59-59。到達預定時間啟動蜂鳴器開始打鈴,打鈴的方式分為起床、熄燈和上下課鈴兩種。系統使用了4個按鍵,3只按鍵用來調整時間,另一只為強制打鈴按鈕。通過選擇鍵選擇調整位,選中位閃爍,按增加鍵為選中位加1,按減少鍵為選中位減1。按強制打鈴按鈕是實現強制打鈴或者強制關閉打鈴。</p><p>  第三章 軟件電路設計及流程圖</p>

41、;<p>  3.1 基本原理概述</p><p>  主程序首先是初始化部分,主要是計時單元清零,中斷初始化,堆棧指針初始化,啟動定時器工作,然后是調用顯示子程序。主程序的起始存儲地址是0000H單元,但由于本系統用了定時器T0的中斷,中斷服務程序入口地址為000BH,因此從0000H單元起存放一條短調轉指令AJMP,使真正的主程序從0300H單元開始存放。</p><p>

42、;  3.1.1 中斷服務程序設計</p><p>  單片機內部的定時/計數器T0定時100ms,即0.1s,10次中斷即為1秒,60秒為1分,60分為1小時,24小時為一天,如此循環,從而實現計時功能。</p><p>  編寫中斷服務程序關鍵要注意:1.現場保護,本系統中是累加器A和程序狀態字PSW值的保護。2.計時處理時采用的確十進制,因此時,分,秒單元加1后要進行十進制調整,即要

43、執行DAA指令,還要注意的是時計到24就回零,分和秒計到60就回零。3.中斷返回前的現場恢復。</p><p>  3.1.2 顯示程序設計和按鍵判斷與按鍵處理程序設計</p><p>  顯示采用的是動態顯示,段控和位控都經過反相器,顯示的字形代碼是共陽的顯示代碼,位控信號輸出時是高電平有效,在校時時,采用的是點亮小數點信位調節器標志,哪位小數點亮表示調整的是該為的值。</p>

44、;<p>  顯示子程序的第一部分是拆字,顯示緩沖區是2FH—2AH;第二部分是查字型碼,輸出段控和位控信號,由于采用的是動態顯示,所以每出輸出一位的段控和位控信號要延時一定的時間,使LED顯示器顯示的字符時穩定的。</p><p>  按鍵判斷程序有編寫時應注意按鍵的去抖動,該系統采用的是延時去抖動的方法,延時是通過調用子程序來實現的,每個按鍵按下后都要等待釋放后再返回。</p>&

45、lt;p>  按鍵處理程序中的按鍵式校時的,所以進入按鍵處理程序后就關閉定時中斷,對于動能鍵注意設置顯示標志。</p><p><b>  3.2 流程圖</b></p><p>  3.2.1 系統主程序流程圖</p><p>  3.2.2 系統定時中斷流程圖</p><p>  第四章 系統程序設計</

46、p><p>  4.1 程序設計概要</p><p>  程序名稱:51 單片機自動掃鈴機控制系統</p><p>  說明:實現24 小時制電子鐘,8 位數碼管顯示,顯示時分秒顯示格式:23-59-59(小時十位如果為0 則不顯示)。</p><p>  到預定時問啟動蜂鳴器模擬打鈴,蜂鳴器BEEP: P3.7。</p><

47、p>  打鈴方式分起床、熄幻鈴和上、下課鈴兩種。</p><p>  系統使用4 只按鍵,3 只按鍵用來調整時間,另一只為鬧鐘按鈕即定時掃鈴。</p><p>  鍵SET_KFY: PI.0;通過選擇鍵選擇調整位,選中位閃爍。</p><p>  增加鍵ADD_KEY:PI.1;按一次使選中位加1。</p><p>  減少鍵DEC_

48、KEY: PI.2;按一次使選中位位。1</p><p>  如果長按ADD_KEY 或DEC_KEY,識別后則進行調時快進,此時停止閃爍。</p><p>  如果選中位是秒,則按增加鍵或減少鍵都是將秒清零。</p><p>  定時掃鈴鍵DALING_KEY: P1.3;用來強制打鈴或強制關閉鈴聲PO 口輸出數碼管段選信號,P2 口輸出數碼管位選信號。晶振12M

49、</p><p><b>  4.2 源程序清單</b></p><p>  根據以上流程圖思想,現設計系統程序思路描寫如下系統的主程序如下所示:</p><p>  BEEP EQU P3.7 ;定義蜂l 鳴器(電鈴)控制信號輸出口</p><p>  ORG 0000H ;程序入日地址</p><

50、p>  LJMP START</p><p>  ORG 000BH ;定時器0中斷入日地址</p><p>  LJMP TIMER_O</p><p><b>  ORG 0300H</b></p><p>  / * * * * *程序開始,初始化** * * * /</p><p>

51、<b>  START :</b></p><p>  SETB BEEP ;關閉蜂鳴器(電鈴)</p><p>  SETB 48H ;使用一個bit 位用于調時閃爍標志</p><p>  SETB 47H ;使用一個bit 位用十產生脈沖用十調時快進時基</p><p>  CLR 45H ;關閉響鈴方式標志<

52、;/p><p>  MOV RI,#0 調整選擇鍵功能標志:0正常走時、1 調時、2 調分、3 調秒</p><p>  MOV 20H,#00H;用于控制秒基準時鐘源的產生</p><p>  MOV 21H,#00H;清零秒寄存器</p><p>  MOV 22H,#00H;清零分寄存器</p><p>  MOV

53、23H,#00H;清零時寄存器</p><p>  MOV 24H,#00H;用于控制調時閃爍的基準時鐘的產生</p><p>  MOV IP, #02H; IP,IE 初始化</p><p>  MOV IE, #82H</p><p>  MOV TMOD, #olH;設定定時器01 作方式1</p><p> 

54、 MOV THO, #3CH</p><p>  MOV TLO, #oBoH;賦定時初值,定時50ms</p><p>  SETB TRO;啟動定時器0</p><p>  MOV SP, #4OH;重設堆棧指針</p><p>  / * * * * *主程序** * * * /</p><p><b>

55、;  MAIN :</b></p><p>  CJNE R1, #00H, MAINl;是否為正常走時狀態</p><p>  LCALL BIJIAO1;調用起床、熄燈打鈴比較子程序</p><p>  LCALL BIJIAO2;調用上、下課少打鈴比較子程序</p><p>  LCALL DALING ;調用響鈴方式執行子

56、程序</p><p><b>  MAIN1 :</b></p><p>  LCALL DlSPLAY;調用顯示子程序</p><p>  LCALL KEY_SCAN;調用按鍵檢測子程序</p><p>  JZ MAIN;無鍵按下則返回重新循環</p><p>  LCALL SET_KEY

57、;調用選擇鍵處理子程序</p><p>  JB 46H, MAIN ;如果已進行長按調招(調時快進),則不再執行下面的單步調整</p><p>  LCALL ADD_KEY;調用增加鍵處理子程序</p><p>  LCALL DEC_KEY; 躺用減少鍵處理子程序</p><p>  LCALL DALING_KEY;處理強制打鈴/強制

58、關閉鈴聲鍵</p><p>  LJMP MAIN;重新循環</p><p><b>  程序結束</b></p><p> ?。ㄖ鞒绦蛑幸玫降淖殖绦蛉缦拢?lt;/p><p>  / * * * * *定時中斷服務程序* * * * * /</p><p><b>  TIMER_O:

59、</b></p><p><b>  PUCH ACC </b></p><p>  PUCH PSW;保護現場</p><p>  MOV THO,#3CH</p><p>  MOV TLO, #0B0H;重新賦定時初值</p><p>  CPL 47H;產生脈沖用于調時快進時基

60、</p><p><b>  INC 24H</b></p><p>  MOV A, 24H</p><p>  CJNEA, #l0, ADD_TIME;產0.5秒基準時鐘,用于調時閃爍</p><p>  CPL 48H;取反調時閃爍標志位</p><p>  MOV 24IJ, #00H&

61、lt;/p><p><b>  ADD_TIME:</b></p><p><b>  INC 20H</b></p><p><b>  MOV A,20H</b></p><p>  CJNEA, #20, RETI1;產生l秒基準時鐘</p><p>

62、  MOV 20H, #O0H;一秒鐘時間到,清零20H</p><p>  MOV A ,21H</p><p>  ADD A,#01H</p><p>  DA A ;做十進制調整</p><p>  MOV 21H, A</p><p>  CJNEA, #60H, RETIl</p><

63、p>  MOV 21H,#00H;一分鐘到</p><p><b>  MOV A,22H</b></p><p>  ADD A,#01H</p><p><b>  DA A</b></p><p><b>  MOV 22H,A</b></p><

64、;p>  CJNE A,#60H,RETI1</p><p>  MOV 22H,#00H;一小時到</p><p><b>  MOV A,23H</b></p><p>  ADD A,#01H</p><p><b>  DA A</b></p><p><

65、b>  MOV 23H,A</b></p><p>  CJNE A,#24H,RETI1</p><p>  MOV 23H,#00H;到24點,清零小時</p><p><b>  RETI1:</b></p><p><b>  POP PSW</b></p>

66、<p>  POP ACC;恢復現場</p><p><b>  RET1;中斷返回</b></p><p>  / * * * * *顯示處理** * * * /</p><p><b>  DISPLAY:</b></p><p>  MOV A,#21H;秒</p>&

67、lt;p>  ANL A,#0FH</p><p>  MOV 2FH,A;轉換出秒個位,存入2FH</p><p><b>  MOV A,21H</b></p><p>  ANL A, #OFOH</p><p><b>  SWAP A</b></p><p>

68、  MOV 2EH, A;轉換出秒十位,存入2EH</p><p>  JB 46H, MIN;如果長按按鍵(調時快進),則跳過閃爍處理</p><p>  CJNE R1, #3, MIN;如果R1為3,閃爍秒位待調整</p><p>  JB 48H,MIN</p><p>  MOV ZFH, #OAH;使該位為10 ,查表得到使該位不

69、顯示的輸出</p><p>  MOV 2EH,#0AH</p><p><b>  MIN:</b></p><p>  MOV A,#22H;分</p><p>  ANL A,#0FH</p><p>  MOV 2DH,A;轉換出分個位,存入2DH</p><p>

70、<b>  MOV A,22H</b></p><p>  ANL A, #OFOH</p><p><b>  SWAP A</b></p><p>  MOV 2CH, A;轉換出分十位,存入2CH</p><p>  JB 46H, HOUR;如果長按按鍵(調時快進),則跳過閃爍處理</

71、p><p>  CJNE R1, #2, HOUR;如果R1為2,閃爍秒位待調整</p><p>  JB 48H,HOUR</p><p>  MOV ZDH, #OAH;使該位為10 ,查表得到使該位不顯示的輸出</p><p>  MOV 2CH,#0AH</p><p><b>  HOUR:</b

72、></p><p>  MOV A,#23H;時</p><p>  ANL A,#0FH</p><p>  MOV 2BH,A;轉換出時個位,存入2BH</p><p><b>  MOV A,23H</b></p><p>  ANLA, #OFOH</p><p&

73、gt;<b>  SWAP A</b></p><p>  MOV 2AH, A;轉換出時十位,存入2AH</p><p>  JB 46H, DISP;如果長按按鍵(調時快進),則跳過閃爍處理</p><p>  CJNE R1, #1, DISP;如果R1為1,閃爍秒位待調整</p><p>  JB 48H,DIS

74、P</p><p>  MOV ZBH, #OAH;使該位為10 ,查表得到使該位不顯示的輸出</p><p>  MOV 2AH,#0AH</p><p>  / * * * * *數碼管動態掃描顯示** * * * /</p><p><b>  DISP:</b></p><p>  MOV

75、 DPTR,#TABLE</p><p><b>  MOV A,2FH</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p><b>  CLR P2.7</b></p><p>

76、;  LCALL DELAY</p><p>  SETB P2.7;顯示秒個位</p><p><b>  MOV A,2EH</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p><b>

77、  CLR P2.6</b></p><p>  LCALL DELAY</p><p>  SETB P2.6;顯示秒十位</p><p>  MOV A,#0BFH</p><p><b>  MOV P0,A</b></p><p><b>  CLR P2.5<

78、/b></p><p>  LCALL DELAY</p><p>  SETB P2.5;顯示“-”</p><p><b>  MOV A,2DH</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b><

79、/p><p><b>  CLR P2.4</b></p><p>  LCALL DELAY</p><p>  SETB P2.4;顯示分個位</p><p><b>  MOV A,2CH</b></p><p>  MOVC A,@A+DPTR</p>&l

80、t;p><b>  MOV P0,A</b></p><p><b>  CLR P2.3</b></p><p>  LCALL DELAY</p><p>  SETB P2.3;顯示分十位</p><p>  MOV A,#0BFH</p><p><b&g

81、t;  MOV P0,A</b></p><p><b>  CLR P2.2</b></p><p>  LCALL DELAY</p><p>  SETB P2.2;顯示“-”</p><p><b>  MOV A,2BH</b></p><p>  MO

82、VC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p><b>  CLR P2.1</b></p><p>  LCALL DELAY</p><p>  SETB P2.1;顯示時個位</p><p>  MOV DPTR,#TAB

83、LE1;該位使用TABLE1以消除前置0</p><p><b>  MOV A,2AH</b></p><p>  MOVC A,@A+DPTR</p><p><b>  MOV P0,A</b></p><p><b>  CLR P2.0</b></p>

84、<p>  LCALL DELAY</p><p>  SETB P2.0;顯示時十位</p><p><b>  RET</b></p><p>  / * * * * *按鍵檢測子程序** * * * /</p><p>  KEY_SCAN :</p><p>  CLR 46H;

85、關閉長按調整(調時快進)標志</p><p>  MOV P1, #OFFH;將P1口設置成輸入狀態</p><p><b>  MOV A,P1</b></p><p><b>  CPL A</b></p><p>  ANLA, #OFH;P1口低4位連接4個按鍵,只判斷該4位</p&g

86、t;<p>  JZ FXIT_KFY;無鍵按下則返回</p><p>  LCALL DELAY;延時去抖動</p><p>  MOV A, P1;重新判斷</p><p><b>  CPL A</b></p><p>  ANL A,#OFH</p><p>  JZ EXI

87、T_KEY;鍵盤去抖動</p><p>  MOV R5, A;臨時將鍵值存入R5</p><p>  MOV R4, #0OH;用于控制調時快進速度</p><p> ??;設置為OOH 是為了在進入長按處理前加長延時區分用戶的長按與短按,防止誤快進</p><p>  LOOP: ;進入長按處理</p><p>  

88、LCALL DISPLAY;使長按時顯示正常</p><p><b>  MOV A, Pl</b></p><p><b>  CPL A</b></p><p>  ANLA, #OFH</p><p>  JB 47H, LOOP1</p><p>  INC R4;調

89、時快進間隔時間基準加l</p><p><b>  LOOP1:</b></p><p>  CJNE R1, #03H, LOOP2;如果調秒時長按,則不處理</p><p>  LJMP LOOP3</p><p><b>  LOOP2:</b></p><p>  C

90、JNE R4, #99H, LOOP3</p><p>  MOV R4, #7OH;確認用戶長按后,重新設定起始值,加快調時快進速度</p><p>  SETB 46H;長按調整〔 調時快進)標志</p><p>  LCALL ADD KEY</p><p>  LCALL DEC KEY</p><p><

91、;b>  LOOP3:</b></p><p>  JNZ LOOP;等待鍵釋放</p><p>  MOV A, R5;輸出鍵值</p><p><b>  RET</b></p><p><b>  EXIT_KEY;</b></p><p><b

92、>  RET</b></p><p>  / * * * * *延時子程序** * * * /</p><p><b>  DELAY:</b></p><p>  MOV R7, #150</p><p>  DJNZ R7, $</p><p><b>  RET&

93、lt;/b></p><p>  / * * * * *選擇鍵處理子程序** * * * /</p><p><b>  SET_KEY:</b></p><p>  CJNE R5,#01H,EXIT;選擇鍵鍵值</p><p>  INC R1;調整選擇功能標識加一</p><p>&l

94、t;b>  SETB BEEP</b></p><p>  CJNE R1,#4,EXIT</p><p><b>  MOV R1,#0</b></p><p>  MOV 24H,#00H;調時閃爍基準清零</p><p><b>  RET</b></p>&l

95、t;p>  / * * * * *增加鍵處理子程序** * * * /</p><p><b>  ADD_KEY:</b></p><p>  CJNE R5, #02H, EXIT;增加鍵鍵值</p><p>  CJNE R1,#01H, NEXT1;選擇鍵功能標志為l,調時,否則跳出</p><p><

96、;b>  MOV A,23H</b></p><p>  ADD A,#01H</p><p><b>  DA A</b></p><p><b>  MOV 23H,A</b></p><p>  CJNE A,#24H,EXIT</p><p>  M

97、OV 23H,#00H</p><p><b>  NEXT1:</b></p><p>  CJNE R1,#02H, NEXT2;選擇鍵功能標志為2,調分,否則跳出</p><p><b>  MOV A,22H</b></p><p>  ADD A,#01H</p><p

98、><b>  DA A</b></p><p><b>  MOV 22H,A</b></p><p>  CJNE A,#260H,EXIT</p><p>  MOV 22H,#00H</p><p><b>  NEXT2:</b></p><p

99、>  CJNE R1,#03H, EXIT;選擇鍵功能標志為3,調秒,否則跳出</p><p>  MOV 21H, #00H;如增加鍵按下一自接清零秒</p><p><b>  RET</b></p><p>  / * * * * *減少鍵處理子程序** * * * /</p><p><b>  

100、DEC KEY:</b></p><p>  CJNE R5,#04H, EXIT;減少鍵鍵值</p><p>  CJNE R1, #01H, NEXT3;選擇鍵功能標志為l,調時,古則跳出</p><p>  MOV A, 23H</p><p>  ADD A, #99H</p><p><b&

101、gt;  DA A</b></p><p>  MOV 23H, A</p><p>  CJNE A, #99H ,EXIT</p><p>  MOV 23H, #23H</p><p><b>  NEXT3:</b></p><p>  CJNE R1,#02H,NEXT4;選

102、擇鍵功能標志為2 ,調分,否則跳出</p><p><b>  MOV A,22H</b></p><p>  ADD A,#99H</p><p><b>  DA A</b></p><p><b>  MOV 22H,A</b></p><p> 

103、 CJNE A,#99H,EXIT</p><p>  MOV 22H,#59H</p><p><b>  NEXT4:</b></p><p>  CJNE R1,#03H,EXIT;選擇鍵功能標志為3,條秒,否則跳出</p><p>  MOV 21H,#00H;如較少鍵按下直接清零秒</p><

104、;p><b>  RET</b></p><p>  / * * * * *強制響鈴鍵處理子程序** * * * /</p><p>  DALING_KEY:</p><p>  CJNE R5,#08H,EXIT;選擇鍵鍵值</p><p><b>  CPL BEEP</b></p

105、><p><b>  RET</b></p><p>  / * * * * *萬用返回程序** * * * /</p><p><b>  EXIT:</b></p><p><b>  RET</b></p><p>  / * * * * *數碼管字形

106、編碼表** * * * /</p><p><b>  TABLE:</b></p><p>  DB OCOH, OFGH, OA4H, OBOH, 99H, 92H, 82H, OFSH, 80H, 90H, OFFH;字型顯示編碼</p><p><b>  TABLE1:</b></p><p&

107、gt;  DB OFFH, OFGH, OA4H, OBOH, 99H, 92H, 82H, OFSH, 80H, 90H, OFFH;小時位的十位數編碼,該位如果為0則不顯示</p><p>  / * * * * *打鈴時間對比程序(起床、熄燈)* * * * * /</p><p><b>  BIJIA01:</b></p><p>&

108、lt;b>  MOV A,23H</b></p><p>  CJNE A,#06H,B0</p><p><b>  MOV A,22H</b></p><p>  CJNE A,#30H,B0;6:30到</p><p>  SETB 45H;開啟響鈴方式1</p><p>

109、<b>  RET</b></p><p>  BO: MOV A, 23H</p><p>  CJNEA, #22H, EXIT_1</p><p>  MOV A, 22H</p><p>  CJNE A, #30H, EXIT_l;22:30到</p><p>  SETB 45H;開啟

110、響鈴方式l</p><p><b>  RET</b></p><p><b>  EXIT_1:</b></p><p><b>  CLR 45H</b></p><p><b>  RET</b></p><p>  / *

111、* * * 打鈴時間對比程序(上、下課)* * * * /</p><p><b>  BIJIAO2:</b></p><p><b>  MOVA, 23H</b></p><p>  CJNE A, #07H, B1</p><p><b>  MOV A,22H</b>

112、</p><p>  CJNE A,#30H,B1;7:30</p><p>  SETB 44H:開啟響鈴方式2</p><p><b>  RET</b></p><p>  B1: MOV A, 23H</p><p>  CJNE A, #08H, B2</p><p&

113、gt;  MOV A, 22H</p><p>  CJNE A,#10H,B2;8:10</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B2: MOV A, 23H</p><p>  CJNE A,

114、#08H, B3</p><p>  MOV A, 22H</p><p>  CJNE A,#20H,B3;8:20</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B3: MOV A, 23H</

115、p><p>  CJNE A, #09H, B4</p><p>  MOV A, 22H</p><p>  CJNE A,#00H,B4;9:00</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><

116、p>  B4: MOV A, 23H</p><p>  CJNE A, #09H, B5</p><p>  MOV A, 22H</p><p>  CJNE A,#10H,B5;9:10</p><p><b>  SETB 44H</b></p><p><b>  RET

117、</b></p><p>  B5: MOV A, 23H</p><p>  CJNE A, #09H, B6</p><p>  MOV A, 22H</p><p>  CJNE A,#50H,B6;9:50</p><p><b>  SETB 44H</b></p>

118、;<p><b>  RET</b></p><p>  B6: MOV A, 23H</p><p>  CJNE A, #10H, B7</p><p>  MOV A, 22H</p><p>  CJNE A,#00H,B6;10:00</p><p><b>  

119、SETB 44H</b></p><p><b>  RET</b></p><p>  B7: MOV A, 23H</p><p>  CJNE A, #10H, B8</p><p>  MOV A, 22H</p><p>  CJNE A,#40H,B8;10:40</

120、p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B8: MOV A, 23H</p><p>  CJNE A, #10H, B9</p><p>  MOV A, 22H</p><p>

121、  CJNE A, #50H, B9; 10:50</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B9: MOV A, 23H</p><p>  CJNE A, #11H, B10</p><p>  

122、MOV A, 22H</p><p>  CJNE A, #30H, B10; 11:30</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B10: MOV A, 23H</p><p>  CJNE A,

123、 #13H, B11</p><p>  MOV A, 22H</p><p>  CJNE A, #30H, B11; 13:30</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B11: MOV A,

124、23H</p><p>  CJNE A, #14H, B12</p><p>  MOV A, 22H</p><p>  CJNE A, #10H, B12; 14:10</p><p><b>  SETB 44H</b></p><p><b>  RET</b>&l

125、t;/p><p>  B12: MOV A, 23H</p><p>  CJNE A, #14H, B13</p><p>  MOV A, 22H</p><p>  CJNE A, #20H, B13; 14:20</p><p><b>  SETB 44H</b></p>&l

126、t;p><b>  RET</b></p><p>  B13: MOV A, 23H</p><p>  CJNE A, #15H, B14</p><p>  MOV A, 22H</p><p>  CJNE A, #00H, B14; 15:00</p><p><b> 

127、 SETB 44H</b></p><p><b>  RET</b></p><p>  B14: MOV A, 23H</p><p>  CJNE A, #15H, B15</p><p>  MOV A, 22H</p><p>  CJNE A, #20H, B15; 15:

128、20</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B15: MOV A, 23H</p><p>  CJNE A, #16H, B16</p><p>  MOV A, 22H</p>

129、<p>  CJNE A, #00H, B16; 16:00</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B16: MOV A, 23H</p><p>  CJNE A, #16H, B17</p>

130、<p>  MOV A, 22H</p><p>  CJNE A, #04H, B17; 16:10</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B17: MOV A, 23H</p><p&g

131、t;  CJNE A, #16H, B18</p><p>  MOV A, 22H</p><p>  CJNE A, #50H, B18; 16:50</p><p><b>  SETB 44H</b></p><p><b>  RET</b></p><p>  B

132、18: MOV A, 23H</p><p>  CJNE A, #19H, B19</p><p>  MOV A, 22H</p><p>  CJNE A, #00H, B19; 19:00</p><p><b>  SETB 44H</b></p><p><b>  RET&

133、lt;/b></p><p>  B19: MOV A, 23H</p><p>  CJNE A, #20H, EXIT_2</p><p>  MOV A, 22H</p><p>  CJNE A, #30H, EXIT_2; 20:30</p><p><b>  SETB 44H</b&

134、gt;</p><p><b>  RET</b></p><p><b>  EXIT_2:</b></p><p><b>  CLR 44H</b></p><p><b>  RET</b></p><p>  / * *

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論