2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩12頁未讀, 繼續免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  《CPLD/FPGA課程設計》</p><p><b>  課程設計報告</b></p><p>  系 別: 信息科學與技術系 </p><p>  專業班級: 通信工程08XX班 </p><p>  學生姓名: XXXX </

2、p><p>  指導教師: </p><p> ?。ㄕn程設計時間:2011年9月5日——2011年9月16日)</p><p><b>  目 錄</b></p><p>  1 課程設計目的3</p><p>  2課程設計題目描述和要求3</

3、p><p>  3課程設計報告內容4</p><p>  3.1 硬件設計4</p><p>  3.1.1 EP1C6Q240C8管腳結構圖4</p><p>  3.1.2 液晶屏的硬件連接電路設計4</p><p>  3.1.3 外圍電路的設計5</p><p>  3.2 軟件設

4、計6</p><p>  3.2.1 功能需求分析6</p><p>  3.2.2 模塊劃分6</p><p>  3.2.4 模塊之間連接組成系統11</p><p>  3.2.5 管教綁定11</p><p>  3.2.6 下載11</p><p><b>  4

5、總結12</b></p><p><b>  參考文獻12</b></p><p><b>  1 課程設計目的</b></p><p>  本課程屬專業課程設計。</p><p>  EDA課程設計是繼“數字電路技術基礎”課之后開出的實踐教學環節。其目的是訓練學生綜合運用學過的數字

6、電路的基本知識,獨立設計比較復雜的數字電路的能力。設計建立在硬件和軟件兩個平臺的基礎上。</p><p>  硬件平臺是FPGA綜合實驗箱,根據不同題目設計的數字電路下載到一片可編程邏輯器件上,實驗結果可在實驗儀上實現。</p><p>  軟件平臺是ALTERA公司的QUARTUSII。</p><p>  通過課程設計,學生要掌握使用EDA工具設計數字電路的方法

7、,包括圖形設計輸入、編譯、軟件仿真、下載和硬件仿真等全過程。</p><p>  2課程設計題目描述和要求</p><p><b>  設計題目:</b></p><p>  綜合數字鐘的設計與實現;</p><p><b>  設計內容:</b></p><p>  用AL

8、TERA公司的QUARTUSII軟件和FPGA綜合實驗平臺設計一個數字時鐘,能進行正常的時,分,秒計時功能,用1塊液晶屏顯示24小時,60分,60秒;能利用按鍵實現“校時”“校分”“鬧鐘”功能。</p><p><b>  3課程設計報告內容</b></p><p><b>  3.1 硬件設計</b></p><p> 

9、 3.1.1 EP1C6Q240C8管腳結構圖</p><p>  3.1.2 液晶屏的硬件連接電路設計</p><p>  3.1.3 外圍電路的設計</p><p><b>  3.2 軟件設計</b></p><p>  3.2.1 功能需求分析</p><p>  設計一個數字時鐘,能進行

10、正常的時,分,秒計時功能,用1塊液晶屏顯示24小時,60分,60秒。</p><p>  3.2.2 模塊劃分</p><p>  模塊分為分頻器模塊,小時、分鐘計數器模塊、LCD顯示驅動模塊三大部分。每個模塊單獨編程并封裝,最后在頂層原理圖中連接。</p><p>  3.2.3 分模塊單獨編程并功能仿真通過</p><p>  1MHz分

11、頻器模塊(PIN1MHZ.vhd),將50MHz分頻為1MHz。</p><p>  1Hz分頻器模塊(CNT.vhd),將1MHz分頻為1Hz。</p><p>  60進制計數器模塊(clock60.vhd),輸出0~59的BCD碼和進位信號。</p><p>  24進制計數器模塊(hourtimer.vhd),輸出0~24的BCD碼和進位信號。</p&

12、gt;<p>  LCD驅動模塊(clock_lcd_disp.vhd),輸出LCD驅動信號。</p><p>  LCD驅動模塊的狀態圖生成。</p><p>  3.2.4 模塊之間連接組成系統</p><p>  3.2.5 管教綁定</p><p><b>  3.2.6 下載</b></p&

13、gt;<p>  下載分為AS方式和JTAG方式,這里使用AS下載方式,可以永久保留程序。使用的下載線一頭為并口,與計算機連接,另一頭為10針接口,與FPGA系統板上的AS接口連接。之后可在EDA軟件中完成下載。</p><p><b>  4總結</b></p><p>  通過這次課程設計,我對FPGA的整個開發過程有了更加深入的了解,并有了實際動手

14、操作的經驗,讓我更好的掌握了相關知識。通過FPGA器件,我們可以方便、快速開發出很多復雜的數字電路以供實際需要,通過編寫代碼省去了許多硬件連接,增強了系統的可靠性。在設計過程中,我們經常需要修改、完善系統的功能,這也只是改變代碼并下載到芯片中就行了,省去了很多時間。本次課程設計是3人一組完成,這也鍛煉了我與人合作的能力,這是十分有必要的,因為今后在工作中必須要與人合作才能完成項目。由于EDA理論課程是在上一個學期學習的,經過一個暑假,很

15、多知識點都有遺忘,這給我們完成課程設計帶來了一定困難,好在老師對我們精心指導,學校也提供實驗室給我們實驗,在與多名同學討論之后,我們終于克服困難,完成了課程設計。</p><p><b>  參考文獻</b></p><p>  [1] 陳曦.通信與電子系統實驗指導書.第二版.武漢:華中科技大學武昌分校,2010</p><p>  [2] 潘

16、松,黃繼業. EDA技術實用教程.第三版.北京: 科學出版社,2010</p><p>  [3] 羅朝霞、高書莉,CPLD/FPGA設計及應用,人民郵電出版社,2007</p><p><b>  課程設計成績:</b></p><p>  注:教師按學生實際成績(平時成績和業務考核成績)登記并錄入教務MIS系統,由系統自動轉化為“優秀(90

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論